Микропроцессоры

Microprocessor — процессор, выполненный в одной либо нескольких взаимосвязанных интегральных схемах.

Процессор полностью собирается на одном чипе из кремния. Электронные цепи создаются в несколько слоев, состоящих из различных веществ, например, диоксид кремния играет роль изолятора, а поликремний — проводника.

В частности, транзистор представляет собой простейшее устройство, размещающееся на поверхности кремниевой пластины и функционирующее как электронный ключ. Обычно он содержит три вывода — источник (эмиттер), сток (коллектор) и затвор (база). Источник и сток образуются путем внедрения в поверхность кремния определенных примесей, а затвор содержит материал, именуемый полисиликоном.

Архитектура транзисторов
Обычный транзистор (а)
терагерц-транзистор (б)
Ниже затвора расположен слой диэлектрика, изготовленного из диоксида кремния. Данная структура получила название «кремний-на-изоляторе» (silicon-on-insulator — SOI). Когда к транзистору приложено напряжение, затвор «открыт» и транзистор пропускает ток. Если напряжение снято, затвор «закрыт» и тока нет.

Технология микропроцессоров в простейшем случае включает следующие обязательные этапы производства:

выращивание кремниевых заготовок и получение из них пластин;
шлифование кремниевых пластин;
нанесение защитной пленки диэлектрика (Si02);
нанесение фоторезиста;
литографический процесс;
травление;
диффузию;
металлизацию.
Все перечисленные этапы используются для того, чтобы создать на кремниевой основе сложную структуру полупроводниковых планарных транзисторов (CMOS-транзисторов) и связать их должным образом между собой.

Процесс изготовления любой микросхемы начинается с выращивания кремниевых монокристаллических болванок цилиндрической формы (кремниевых заготовок). Это лишенный примесей монокристалл.

В дальнейшем из таких монокристаллических заготовок нарезают круглые пластины, «таблетки» (waffer — вафля, облатка), толщина которых составляет приблизительно от 0.2 до 1.0 миллиметров, а диаметр — от 5 сантиметров (ранние технологии) до 20 сантиметров (современные технологии), поверхность которых отполировывается до зеркального блеска.

После полировки поверхности кремниевой основы ее покрывают тончайшим слоем оксидной пленки (Si02), выполняющей функцию диэлектрика и защитной пленки при дальнейшей обработке кристалла кремния. Пластины помещают в камеру, где при высоких температуре и давлении происходит диффузия кислорода в поверхностные слои пластины, приводящая к окислению кремния и образованию поверхностной пленки диоксида кремния.

После того как кремниевая основа покроется защитной пленкой диоксида кремния, необходимо удалить эту пленку с тех мест, которые будут подвергаться дальнейшей обработке. Удаление пленки осуществляется посредством травления, а для того, чтобы в результате травления оксидная пленка удалялась избирательно, то есть только в нужных местах, на поверхность пленки наносят слой фоторезиста (особого состава, который изменяет свои свойства под воздействием УФ-излучения). Облученные области становятся растворимыми в кислотной среде.

Процесс нанесения фоторезиста и его дальнейшее облучение ультрафиолетом по заданному рисунку называется фотолитографией. Перед нанесением слоя фоторезиста на основу последняя подвергается предварительной обработке, в результате этого улучшается ее сцепление со слоем фоторезиста. Для засветки нужных участков слоя фоторезиста используется шаблон маска, который содержит рисунок одного из слоев будущей микросхемы. Ультрафиолетовое излучение, проходя сквозь такой шаблон, засвечивает только нужные участки поверхности слоя фоторезиста. После облучения фоторезист подвергается проявлению, в результате которого удаляются ненужные участки слоя.

По мере возрастания плотности размещения транзисторов, формируемых в кристалле, усложняется и литографический процесс. Процесс уменьшения геометрических размеров транзисторов сопровождается и уменьшением размеров линий, наносимых на слой фоторезиста. Минимальная толщина линии, получаемая в процессе литографии, определяется размером пятна, в который удается сфокусировать лазерный луч. Помимо прочих факторов размер пятна фокусировки зависит от длины волны.

Поэтому при производстве современных микропроцессоров для облучения используют ультрафиолетовое излучение. Для производства микросхем по 130-нанометровому технологическому процессу используется глубокое ультрафиолетовое излучение (Deep UltraViolet — DUV) с длиной волны 248 нм. На подходе литографический процесс с длиной волны 13 нм, получивший название EUV-литографии (Extreme UltraViolet — сверх жесткое ультрафиолетовое излучение).

После засвечивания слоя фоторезиста приходит очередь этапа травления (etching) с целью удаления пленки диоксида кремния. При производстве процессоров используется сухой метод травления, который позволяет точно контролировать процесс травления, а разрушение вытравливаемого слоя происходит здесь в строго вертикальном направлении. При использовании сухого травления для удаления с поверхности пластины диоксида кремния применяется ионизированный газ (плазма). Газ вступает в реакцию с поверхностью диоксида кремния, в результате образуются летучие побочные продукты. После процедуры травления, то есть когда оголены нужные области чистого кремния, удаляется оставшаяся часть фотослоя, и на кремниевой основе остается рисунок, выполненный диоксидом кремния.

Процесс внедрения примесей осуществляется посредством диффузии — равномерного внедрения атомов примеси в кристаллическую решетку кремния. Для процесса диффузии легирующей примеси применяется ионная имплантация, при которой ионы нужной примеси излучаются высоковольтным ускорителем и, обладая достаточной энергией, проникают в поверхностные слои кремния. Этап ионной имплантации завершается созданием необходимого слоя полупроводниковой структуры, в котором сосредоточены десятки миллионов транзисторов. Далее необходимо в нужной последовательности соединить их между собой проводниками.

Осуществить требуемую разводку в пределах того же слоя, где расположены сами транзисторы, нереально — неизбежны перекрещения между проводниками, потому для соединения транзисторов друг с другом применяют несколько слоев металлизации, то есть слоев с металлическими проводниками, причем, чем больше транзисторов насчитывается в микросхеме, тем больше слоев металлизации используется.

Для соединения транзисторов друг с другом прежде всего необходимо создать проводящие контакты стоков, истоков и затворов. Для этого по маске в нужных местах вытравливается слой диоксида кремния и соответствующие окна заполняются атомами металла. Для создания очередного слоя на полученном рисунке схемы выращивается дополнительный тонкий слой диоксида кремния. После этого наносятся слой проводящего металла и еще один слой фоторезиста. Ультрафиолетовое излучение пропускается сквозь вторую маску и высвечивает соответствующий рисунок на фоторезисте. Затем опять следуют этапы растворения фоторезиста и травления металла. В результате в новом слое образуются нужные проводящие полоски, напоминающие рельсы, а для межслойных соединений, то есть соединений слоев друг с другом, в слоях оставляются окна, которые затем заполняются атомами металла. К примеру, при 0.25-микронном технологическом процессе для осуществления разводки используется пять дополнительных слоев.

Процесс нанесения слоев заканчивается, когда схема собрана полностью. Поскольку за один раз на одной «таблетке» создается несколько десятков процессоров, на следующем этапе они разделяются (получаются полуфабрикаты — матрицы — dice) и тестируются. На ранних этапах развития технологий отбраковывалось более 50 процентов схем, сейчас процент выхода выше, но никогда не достигает 100 процентов.

Прошедшая тестирование матрица помещается в керамический прямоугольный футляр, из которого выходят «ножки», микроразъемы (pin grid arrays — PGA) интерфейса процессора, с помощью которых процессор помещается и закрепляется в гнезде (socket) на системной плате компьютера (иногда интерфейс оформляется в виде линейного разъема — slot). Количество контактов — от 169 (Socket 1, процессор Intel 80486) до 940 (Socket 940, AMD Opteron). В последнем случае часть соединений зарезервирована для последующего расширения возможностей — размещения на плате процессора кэш памяти уровня 3 (L3-cache), соединения с другими процессорами (для многопроцессорных систем) и прочие

В настоящее время используется технология микроразъемов (micro pin grid array — µPGA), существенно снижающая физические размеры интерфейса процессора.

Чипы памяти DRAM изготовляются на основе технологии, сходной с изготовлением процессора — кремниевая основа с нанесенными примесями обрабатывается с маской, которая образует множество пар «транзистор-емкость», каждая из которых размещает 1 бит информации. Стоимость этих схем гораздо ниже, чем процессоров, поскольку они состоят из однородных повторяющихся структур, а также дешевле схем SRAM, поскольку в последних содержится в 2 раза больше транзисторов (каждый бит здесь содержится в триггере, который требует по меньшей мере два транзистора).

ТЕХНОЛОГИЯ МЕДНЫХ ПРОВОДНИКОВ
Для установления соединений длительное время использовался алюминий, однако к середине 1990-х годов стало очевидным, что скоро будут достигнуты технологические и физические пределы существующей технологии. Относительно высокое удельное сопротивление алюминия при уменьшении диаметра проводников приводит к потерям и перегреву схем. Ученые видели эту проблему и стремились найти способ заменить алюминий одним из трех металлов, которые проводят электричество лучше, — медью, серебром или золотом. Однако длительное время никому не удавалось создать конкурентоспособный чип с медными проводниками.

Основное преимущество медных соединений в данном случае заключается в том, что медь обладает меньшим удельным сопротивлением по сравнению с алюминием.

Наряду с рассмотренными преимуществами медь обладает рядом свойств, создающих немало сложностей в процессе производства микросхем. Медь легко диффундирует в глубь кристалла, что вызывает порчу микросхемы и, в отличие от алюминия, плохо поддается травлению, поэтому технологии создания медных и алюминиевых внутрислойных соединений в корне различаются. В случае использования алюминия травлению по маске подлежит собственно алюминий, а при применении меди травлению подлежит оксидная пленка, в результате этого образуются бороздки, которые впоследствии заполняются медью. Эта технология получила название Damascus, или узорная инкрустация. Поэтому процесс изготовления микросхем с использованием алюминиевых соединений технологически несовместим с аналогичным процессом с использованием медных соединений.

В сентябре 1998 года IBM объявила о разработке нового технологического процесса, включающего создание медных проводников на чипе (Damascene процесс — 0.18-мкм CMOS 7SF). Создание каждого нового слоя начинается с получения оксидной пленки, которая покрывается слоем фоторезиста. Далее, посредством литографического процесса в оксидной пленке вытравливаются бороздки и углубления требуемой формы. Эти бороздки и углубления необходимо заполнить медью. Но прежде, для предотвращения нежелательной диффузии меди, они заполняются тонким слоем антидиффузионного вещества (diffusing barrier), изготовленного из устойчивого материала — титана или нитрида вольфрама. Толщина такой антидиффузионной пленки — всего 10 нм. Микроскопическая начальная пленка меди размещается выше, чтобы удерживать медный слой, который затем наносится на весь чип.

Технология медных проводников
а — вытравливание соединений путем фотолитографии;
б — нанесение защитного слоя;
в — нанесение микроскопической пленки меди;
г — нанесение рабочего слоя меди;
д — удаление избыточного металла.
Для осаждения меди используют гальванизацию из раствора медного купороса Cu2SO4, причем сама пластина, на которую осаждаются ионы меди Cu++, выступает в роли катода. При гальванизации необходимо, чтобы медь равномерно осаждалась по всей пластине, поэтому подбирают такую плотность электролита, чтобы минимизировать разницу тока в центре и по краям и тем самым обеспечить равномерность осаждения меди. После заполнения медью канавок лишний слой меди удаляется с пластины посредством шлифования, а затем наносится очередной слой оксидной пленки и проводится формирование следующего слоя. В результате образуется многослойная система.

ТЕХНОЛОГИЧЕСКИЙ ПРОЦЕСС 65 НАНОМЕТРОВ
Intel довела данную технологию до стадии промышленного производства к концу 2005 года В 65 нанометров процессе Intel использует УФ-литографию с длиной волны 193 нм, комбинируемую с технологией фазового сдвига. При этом удалось уменьшить до 35 нм эффективную ширину затвора транзисторов, что приблизительно на 30 процентов меньше, чем при производстве по 90 нанометров технологии.

Транзисторы
а — транзисторы 65 нанометров поколения;
б — транзисторы в восемь слоев медных соединений.
Остались прежними в новом процессе и используемые для создания транзисторов материалы. Дополнительные усилия были направлены на борьбу с токами утечки. Появившаяся в 90 нанометров технологическом процессе технология напряженного кремния обрела в 65 нанометров технологии свою усовершенствованную версию — сохранение толщины изоляционного слоя затвора на уровне 1.2 нм примерно на 15 процентов увеличило деформацию каналов транзисторов. Это дало четырехкратное уменьшение токов утечки, которое, в конечном итоге, создает возможность примерно 30-процентного увеличения частоты срабатывания транзисторов без увеличения их тепловыделения.

И последнее изменение — увеличение числа слоев медных соединений. В новом процессе их восемь, что на один больше, чем в ядрах, выпускаемых по 90 нанометровому процессу. Благодаря этому Intel надеется упростить проектирование будущих кристаллов.

СВЕРХБОЛЬШИЕ ИНТЕГРАЛЬНЫЕ СХЕМЫ — WAFER-SCALE INTEGRATION
Модули памяти. Полупроводниковая пластина создается из гладкого тонкого (около четверти миллиметра) диска кремния диаметром примерно 150 миллиметров, который в отличие от обычной технологии не разрезается на части. На пластине создается структура полупроводника и матрица, содержащая элементы памяти и вспомогательные логические элементы. Применение в интегральной схеме целой пластины кремния позволяет исключить ряд технологических операций, в том числе 90 процентов дорогостоящих операций, связанных с соединением компонентов на печатных платах. После обработки пластина может содержать немало элементов, оказавшихся бракованными. Поэтому в полупроводниковой пластине каждый элемент памяти содержит программируемые логические элементы.

Модули памяти, создаваемые на полупроводниковых пластинах, образуют полупроводниковый диск. Он значительно дороже магнитного диска, однако по сравнению с ним полупроводниковый диск имеет ряд важных достоинств:

может работать в любом положении, что важно для транспортных средств;
не содержит механических деталей и не вращается и поэтому более надежен в работе;
обеспечивает значительно меньшее время доступа к данным.
Однокристальный компьютер. Вначале однокристальными были микропроцессоры. Позже появились однокристальные компьютеры.

Структура однокристального компьютера
Кроме перечисленных жестких технологий изготовления электронных схем, существуют также гибкие технологии, позволяющие настраивать изделие на конкретные функции или условия эксплуатации.

БЕСКОРПУСНАЯ ИНТЕГРАЛЬНАЯ СХЕМА
Бескорпусная интегральная схема — bare integrated circuit — схема-полуфабрикат без защитной оболочки.

Разнообразие используемых систем привело к необходимости производства на заказ специальных интегральных схем, требуемых в небольшом количестве. Их производство становится экономически выгодным, например, если эти схемы собираются из компонентов массового производства. С этой целью производятся бескорпусные, или «голые» интегральные схемы.

Благодаря этому изготовители аппаратуры получили возможность создавать собственные многомикросхемные комплексы, которые собираются из серийных компонентов и лишь затем помещаются в какой-нибудь корпус либо другую защитную оболочку. По такой технологии могут выпускаться микропроцессоры, запоминающие устройства, специальные логические модули, микроконтроллеры, модемы и так далее.